home *** CD-ROM | disk | FTP | other *** search
INI File | 2001-12-25 | 2.3 KB | 63 lines |
- [-COMMENT-:GLOBAL]
- # Verilog-HDL LANGUAGE KEYWORD FILE
-
- # CRIMSON EDITOR v3.1
- # FIRST EDITED BY Chang-woo,YANG 2001.5.11
- # =============================================================
- # = Here is a "PLDWorld.com"... http://www.PLDWorld.com =
- # = podongii@PLDWorld.com =
- # = oOOo oOOo =================================================
-
- [KEYWORDS0:GLOBAL]
- always and assign
- begin buf bufif0 bufif1
- case casex casez cmos
- deassign default defparam disable
- edge else end endattribute endcase endmodule endfunction endprimitive endspecify endtable endtask event
- for force forever fork function
- highz0 highz1
- if ifnone initial inout input integer
- join
- large
- macromodule medium module
- nand negedge nmos nor not notif0 notif1
- or output
- parameter pmos posedge primitive pull0 pull1 pullup pulldown
- rcmos real realtime reg release repeat rnmos rpmos rtran rtranif0 rtranif1
- scalared signed small specify specparam strength strong0 strong1 supply0 supply1
- table task time tran tranif0 tranif1 tri tri0 tri1 triand trior trireg
- unsigned
- vectored
- wait wand weak0 weak1 while wire wor
- xnor xor
-
- [KEYWORDS1:GLOBAL]
- `resetall `define `undef `timescale `ifdef `else `endif `include `celldefine `endcelldefine `default_nettype `unconnected_drive `nounconnected_drive
-
- [KEYWORDS2:GLOBAL]
- $display $displayb $displayh $displayo $monitor $monitorb $monitorh $monitoro $monitoron $monitoroff $strobe $strobeb $strobeh $strobeo $write $writeb $writeh $writeo
- $fclose $fdisplay $fdisplayb $fdisplayh $fdisplayo $fmonitor $fmonitorb $fmonitorh $fmonitoro $readmemb $fopen $fstrobe $fstrobeb $fstrobeh $fstrobeo $fwrite $fwriteb $fwriteh $fwriteo $readmemh
- $printtimescale $timeformat
- $finish $stop
- $hold $period $setup $skew $nochange $recovery $setuphold $width
- $async $sync $and $nand $or $nor $array $plane
- $q_initialize $q_remove $q_exam $q_add $q_full $q_random
- $realtime $time $stime
- $bitstoreal $itor $readtobits $rtoi
- $random $dist_chi_square $dist_exponential $dist_poisson $dist_uniform $dist_erlang $dist_nornal $dist_t
- $comment $date $enddefinitions $scope $timescale $upscope $var $version $dumpall $dumpoff $dumpon $dumpvars
-
- [KEYWORDS3:GLOBAL]
-
- [KEYWORDS4:GLOBAL]
-
- [KEYWORDS5:GLOBAL]
-
- [KEYWORDS6:GLOBAL]
-
- [KEYWORDS7:GLOBAL]
-
- [KEYWORDS8:GLOBAL]
-
- [KEYWORDS9:GLOBAL]
-